Avatar

Howdy, Stranger!

It looks like you're new here. If you want to get involved, click one of these buttons!

chrisoutwright

About

Username
chrisoutwright
Joined
Visits
22
Last Active
Roles
Member
Points
5
Badges
0
  • Help getting going with logi-matrix

    I managed to drive a 32x32 RGB Panel with the tips above. 
    Looking at the vhd files of "logibone_mat.xise" is it possible to drive a 32x64 Panel with minor adjustments?
    Setting   nb_panels : positive := 4  for two  32x64, does not work nor does nb_panels : positive := 2. 
    Any ideas? Also the python script to display full-screen rectangle:

    map = ()
    g = 0x00F0
    count = 0
    for c in range(1024):
            g = count << 4
    map = map + (g & 0xFF, ((g >> 8) & 0xFF))
    count = count + 1
            if count > 15:
                    count = 0
    logi.logiWrite(MAT_ADDR, map)

    time.sleep(3)

    Leaves out a middle column as well as the first and last on a 32x32 Panel. Why is that?
    I wished I could use Glen Akins`s version but loading the bitfile will yield that my Revision (R1.5) of logibone is incompatible.
    How could one account for that in the .xise file?



    rki