Avatar

Howdy, Stranger!

It looks like you're new here. If you want to get involved, click one of these buttons!

ionray

About

Username
ionray
Joined
Visits
13
Last Active
Roles
Member
Badges
0

Comments

  • I don't know what the issue was but going to Project -> Cleanup Project Files... and re trying has now made it work.  Thank you for your assistance!
  • My project file can be found here: https://dl.dropboxusercontent.com/u/83864113/logi_blinkCopied.zip
  • Thanks Mike for those links.  For some reason your project works but when I copy the .vhd and .ucf files to my project it does not!  The settings seem exactly the same, I am not sure what the issue is.  I will spend some more time to try and dig muc…
  • Hi Mike, Thank you for your kind welcoming message, I am happy there are forums and think they are great, obviously when more people are using them and there are more discussions you will see what is needed.  So this is what I have done: git c…
  • Hi Jonathan,   Thank you for your reply.  I went through to the Logi-apps folder and logi-tools folder and did "git pull origin"; the apps updated but the tools had "Already up-to-date".  I gave it another run but still does not work.  I assume that…
  • Nice forums! My Logi-Pi arrived a few weeks ago, however I tried running the code from Xilinx where it compiled fine but when transferred the .bit file does not work on the board.  The done LED lights up but the others do not. I can confirm: ·  …