Logi-PI shared pins example

Hi,

Is there an example about how to use "shared pins" (GPIO) between RPi and Logi-PI ?

I saw it in the following doc:


thx

Comments

  • We don't have a specific example for this. What are you looking to achieve ? The logipi 1.5 only has GPIO_GEN2 shared with the FPGA (in addition of SPI, I2C and Serial). The logipi-1.1 has more pins wired between the FPGA  and the raspberry-pi but most of them are used to do the serial loading of the FPGA (on the logipi 1.5 a i2C GPIO exapnder is used).
    The pins canbe controlled on the raspberry-pi in C (http://wiringpi.com/) or Python (https://learn.sparkfun.com/tutorials/raspberry-gpio/python-rpigpio-example). What kind of example would you need ?

    Regards,

    Jonathan Piat
  • Hi,

    Thx for the answer. I will write an short article about Logi-PI for a french  magazine dedicated to embedded+free software/hardware (sorry it's in french :))


    I use RPi for my courses at university in lots of example with embedded Linux (user, kernel) or even RTEMS. I wrote a small example in Verilog (blinking led period controlled by a pushbutton) for the article (I don't like VHDL...) but I thought it was fine to use GPIO in Verilog instead of button, should be easy now.
    I would like to test wishbone for RPi/FPGA communication but had problems with compilation (logi-hard libraries are needed). I didn't see documentation about it...

    regards and thx for you nice product :

    Pierre




  • Vous serez donc heureux de savoir que l'équipe qui à créé la logipi est 50% française (moi ...) !
  • Ha ha, excellent :) 2 personnes ça explique un peu le manque de doc :)

    J'imagine qu'il faut compiler logi-hard avant de pouvoir compiler l'exemple wishbone.
  • Je pensais que la référence à logi-hard était dans le wiki mais visiblement non  ...
    Il faut donc cloner le repository logi-hard (https://github.com/fpga-logi/logi-hard) et le référencer dans le projet VHDL.

    Un example en image avec l'utilisation de l'éditeur skeleton :
    http://valentfx.com/wiki/index.php?title=LOGI_-_Skeleton_Editor_-_Project
Sign In or Register to comment.