logi-bone/cam no streaming video

Hardware: logi-bone (R1.0, 2014.03.18), logi-cam (7670, R1.1,2014.03.31), BeagleboneBlack (A6)
Software: pre-built logi image (logibone_r1_ubuntu_v4.img), recent logi-apps (about 2 days ago, git clone -b logibone https://github.com/fpga-logi/logi-apps.git)

After the following command and output,

ubuntu@arm:~/logi-apps/imgproc_app$ sudo ./make_demo.sh
What is your sensor version (7670, 7725, ...)7670
0+1 records in
0+1 records out
341536 bytes (342 kB) copied, 0.959428 s, 356 kB/s

DEMO NOW RUNNING ***************************************************************************
* Demo will now start :
* Open a browser and connect to http://<your beaglebone ip address>:8080/stream.html
* Use switches on the logibone to switch between video processing modes (normal, gaussian, sobel, harris)
* full documentation on this app:
http://valentfx.com/wiki/index.php?title=LOGI_-_Image_Processing_-_Project
* Press ctrl-c to end demo
END INSTRUCTIONS ***************************************************************************

MJPG Streamer Version.: 2.0
 o: www-folder-path...: ./www/
 o: HTTP TCP port.....: 8080
 o: username:password.: disabled
 o: commands..........: enabled

 
 I tried http://my_logi_bone_ip:8080/stream.html, I got the text (with color) of that web page, but the video area is blank, while the browser continuously refreshing the page. I tried Firefox and IE. Same result. As a test, I tried the Blink LED demo and it works.
 
 I am new to this. Any help would be appreciated. Thanks.
«1

Comments

  • Did you take off the lens cap? 
    Have you tried to focus the lens? 
    I found that the focus when the lens was all the way in meant all I got was black, I had to unscrew the lens till it was 3/4 the way out to get a image that was usable.   
    mjones
  • Can you let us know what the LEDs are doing?  One of the LEDs should be pulsing at 30hz which is the vertical sync.  If you do not see the then the camera may be connected incorrectly or damaged.

    Here is s wiki page that shows how the camera should be connected.

    Let us know.


  • Ribbox and mjones,
    Thanks for the replies. The len cover is off. I tried the entire length of len screw. Same result. The video area in my case is not black, it is blank (white, no color).
    Only two LEDs on logi-bone are on (steady) --- the PWR LED near the JTAG and the DONE LED between the two PMOD connectors. My connection matches the logi-cam quick start guide photos. Maybe I did something wrong.
    Thanks.


  • Hi Ize,

    So you can confirm the LED0 and LED1 are not doing anything( stay off)?  

    from the logi-apps/imgproc_app can you run and let me know what happens with led0/1:
    "sudo logi_loader logibone_camera_R1_7670.bit"

    If this LEDs still do not light will you run the blink led demo  in the logi-apps and ensure that the LEds blink?


  • Hi mjones,
    1. Yes, confirmed -- the LED0 and LED1 stay off, doing nothing.
    2. After doing the following,
    ubuntu@arm:~/logi-apps/imgproc_app$ ls
    logibone_camera_R1_7670.bit  make_demo.sh  README.TXT
    ubuntu@arm:~/logi-apps/imgproc_app$ sudo logi_loader logibone_camera_R1_7670.bit
    [sudo] password for ubuntu:
    0+1 records in
    0+1 records out
    341536 bytes (342 kB) copied, 0.977835 s, 349 kB/s
    ubuntu@arm:~/logi-apps/imgproc_app$

    when I tried the web page, it says it's unable to connect server at my_logi_bone_ip:8080.  LED0 and LED1 stay off.  By the way , I also checked the port 8080 with netstat -na, which indicates port 8080 is not listening. This is similar to using Ctrl+C to terminate the sudo ./make_demo.sh --- I think the FPGA is configured, but Ctrl+C might have stopped the web server/service on port 8080/tcp. (sorry I got sidetracked here a little).
    3. I tested this again,
    ubuntu@arm:~/logi-apps/blink_led_app$ sudo ./make_demo.sh
    0+1 records in
    0+1 records out
    340703 bytes (341 kB) copied, 0.965638 s, 353 kB/s
    Logipi leds should now be blinking !

    The LED0 and LED1 are blinking.

    Thanks.


  • Hi Ize,

    I don't have any hardware with me now, but will do some testing tomorrow and get back to you with some suggestions.  Also, @jpiat may have some suggestions for troubleshooting.

    Cheers,

    Mike


  • Hi,

    LED1 in this application is connected to the camera vertical synchronization signal, so as @mjones stated it should blink at 30Hz. LED0 is connected to the reset signal so it should be off all the time. No VSYNC from the camera can mean that your camera is damaged, or that its not correctly plugged in in the adapter. Could you send a picture of your setup, with close-up of the camera module at support@valentfx.com (or embed in this forum page) ? In the mean time, can you make sure that the wishbone app is working correctly ?

    Regards,

    Jonathan Piat
  • When I attach file, insert/embed the photos here, the images seem to be over-zoomed/unclear. So, I'll be sending them to support@valentfx.com. Thanks.
  • Hi,

    (this conversation continued on support@valentfx.com)

    today is built a new debian image (Jessie), did the test of running the img_proc application and it did not work on first try ... but worked on the second. If you experience the same problem, you can try the following. In the imgproc_app folder, delete the .done file (this is to avoid running the apt-get command every time the demo is run) and re-run the make_demo.sh script as sudo. If the led blink on the board (at 30hz, so you may just notify that led0 is dim), the hardware is good. The software though can failt to communicate with the board or fail to synchronize with the camera. To make sure that the communication with the logiboen is working, try the wishbone app (LED0 should be slowly fading). If the wishbone app fails, it can mean that the you did not initialize the logibone eeprom cape ID (see on valentfx/wiki).
    If the software fails to synchronize with the camera (after trying multiple times), you can speed up the beaglebone-black CPU by issuing the following command :
    sudo cpufreq-set --governor performance

    This will force the CPU to run at 1Ghz and could help the software keep-up with the pixel flow.

  • It is working for me now after I ran the init_eeprom.sh.  I am sorry that I may have misunderstood the Logi-bone quick start guide instruction --- I thought only earlybird boards needed to run that.

    Thank you, Jonathan, Mike and Ribbox for all your time, advice/tip and help.
    mjones
  • Hi,

    sorry for the mistake in the wiki. Every new board need to have its eeprom configured and you may have to re-configure the eeprom as we release new images. Thanks for the feedback, the documentation was updated.

    Regards,

    Jonathan Piat
  • I have the same problem. But running ./init_eeprom.sh didn't solve the issue for me. After I run sud logi_loader logibone_camera_R1_7670.bit the LED0 and LED beside (done) stay ON while LED1 is OFF. I tried this with/and without camera connected to the board.
    Did I miss a step or something?
    Thanks for the help in advance
  • I ran ./make_demo.sh several times and still didn't solve the issue. I also run sudo cpufreq-set --governor performance but didn't solve the problem. The blink demo is working for me
  • edited July 2014
    Hi Tarik,

    Can you run "rm -f .done" from the image processing directory and retry?  This will force all of the library components to update which may help.  

    @jpiat will have some more tips if this does not help.

    Cheers,

    Mike
  • In the imgproc_app dir there is no rm.done
    Only make_demo.sh and logibone_camera_R1_7670.bit
  • edited July 2014
    The file that needs removed is ".done"  the command to remove the file is "rm -f .done"

    There should be a ".done" file if you have already run the app.  This file is created that indicates that the app update process has taken place and prevents the app from re-updating any source or libraries on subsequent runs.  In order to update any libraries/code the .done file needs to be removed.  You can remove the .done file by running "rm -f .done" from the command line inside of the img processing directory.  

    Note that you will need to run "ls -al" in order to see the .done file.  

    Also, please run "git pull" from this directory.  This will ensure that you are using the latest code from the repository.

    We may need to update the app to help in debugging what the problem is if it does not run.  There are many things happening and it is currently a little difficult to debug if something is not working.  I will talk with Jonathan about coming up with a diagnostics led blink count on the output to indicate error if any - or something along these lines.

    Cheers,

    Mike
  • Hi tarik,

    Did you saiy that you tried the demo with camera disconnected and the led0 would remain on ? The led should be blinking fast only with camera connected. Did you try to run the wishbone demo ? The wishbone demo will help make sure that the communication with the board is working fine. If the wishbone demo is not work in this means that the logibone was not detected properly.

    Regards,

    Jonathan piat
  • Did the git pull. And tried it again still didn't work and there is no .done in the imgproc dir after running the make_demo.sh.
    I m loading new image to the SD and will go through the process again
  • Hi Mike & Jonathan,

    I will try to provide all the info to help you guys help me.

    loaded a new image to the SD card : logibone_r1_ubuntu_v4.img

    cd deploy

    sudo ./init_eeprom.sh

    sudo reboot

     

    cd Logi_apps

    cd imagproc_app

    git pull

    sudo logi_loader logilogibone_camera_R1_7670.bit

    ./make_demo.sh (LED1 always OFF/ runing make_demo.sh make LED0 blink once but go solid after that -stays ON)

    no image in MJPG-Streamer

    ls -la ( see .done  and removed it using rm -f .done)

    ran ./make_demo.sh

    no images in Steamer

    power down the beagle bone/logi

    removed the camera board

    power on the board

    both LEDs (the one beside Done and LED0 are OFF)

    removed the .done

    ran ./make_demo.sh LED0 and the LED beside Done turn ON and stays ON. LED1 always OFF

    ***********************************

    cd wishbone

    sudo logi_loader logibone_wishbone_r1.bit

    LED0 turned OFF. LED beside Done ON

    sudo ./make_demo.sh (LED0 turn ON and start diming OFF untill OFF and turn ON ------ continuously. LED1 OFF)

     

     

  • Hi Tarik,

    I noticed in your last post, you did "cd Logi-apps".   In my case "Logi-apps" came with the logi ubuntu image. To get the updated apps, I did "git clone -b logibone https://github.com/fpga-logi/logi-apps.git", then I have a "logi-apps" directory (note the lower case L). I then use the "logi-apps", not "Logi-apps". 

    I don't know if this applies to your case or not.

    I am not an expert on this. Just want to share my experience, in case it is helpful.
    mjones
  • That is really strange. Can you check the logi image I used (mentioned above) is it the same u used?
  • edited July 2014
    Thanks for the input Ize.  The capital L was replaced with lower case as it started become a pain (lazy with the shift key) the repo type-case was changed to all lower case.  Though the github repository is technically "logi-apps" a "git pull" into a "Logi-apps" repository as is on the ubuntu image will have no problems as it is pulling the an http address which is not case sensitive.    The short is that it should not be a problem.

    Tarik.  I think your camera module may be bad as it appears that you are able to communicate fine between the FPGA/bone and the bitstreams are running fine.  I have had a camera module go bad personally - they seem to be static sensitive.  If this turns out to be the case I will send you a new camera module.  We will wait to see if Jonathan wants to do any more testing.

    Sorry for the trouble!

    Mike



  • Thanks guys.
    Mike are u talking about the camera itself (OV7670) or the Camera - logi board ?
    If we determine that it is the camera itself I can go ahead and buy a new one cause I have to start working on a project for a hackaton demo here at John Deere.
  • Hi,

    I tried what lze suggested ( Mike I know you mentioned that the changes only includes minor changes from upper case L to lower case l ) but I couldnt go to sleep without trying it. so after (git cone -b......) I endup with logi-apps and folowing the same steps as before I endup wthe the following output, it may not be relevent to my current problem:

    after I entered 7670

    0+1 records in
    0+1 records out

    0% [Connecting to ports.ubuntu.com]
    .
    .
    .
    Err http://ports.ubuntu.com saucy InRelease
    Err http://ports.ubuntu.com saucy Release.gpg
    could not resolve 'port.ubuntu.com'
    Err http://ports.ubuntu.com saucy-updates Release.gpg
    could not resolve 'port.ubuntu.com'
    Readng package lists ... Done
    W: Failed to fetch http://ports.ubuntu.com/ubuntu-ports/dists/saucy/InRelease
    W: Failed to fetch http://ports.ubuntu.com/ubuntu-ports/dists/saucy-updates/InRelease
    W: Failed to fetch http://ports.ubuntu.com/ubuntu-ports/dists/saucy/InRelease.gpg could not resolve 'ports.ubuntu.com'
    W: Failed to fetch http://ports.ubuntu.com/ubuntu-ports/dists/saucy/InRelease could not resolve 'ports.ubuntu.com'
    W: Some index files failed to download. They have been ignored, or old ones used instead
    Reading packages lists ... Done
    Building depenceny tree
    Reading state information ... Done
    gcc is already the newest version
    libjpeg8-dev is already the newest version
    make is already the newest version
    v41-utils is already the newest version
    0 ugrades, 0 newly intalled, 0 to remove and 28 not upgraded
    In : failed to create symbolic link '/usr/include/linux/videodev.h':File exists
    make:Nothing to be done for 'all'
    DEMO NOW RUNNING*********************************************************************************8
    .
    .
    .
    .
    .
    o: commands.............:enabled

  • Hi Tarik,

    No harm in trying..... It looks like you may need to run "sudo apt-get update" to remove the previous errors.  But, it does not look like they affect your problem.

    Unless it is a connection problem, then it sounds like to me that it is probably the ov7670 camera module.  There is not much that can go wrong with the logi-cam board.  Jonathan will evaluate whether is any further code that you can try to run to debug. 

    In the mean time I have sent you message to verify your current shipping address to arrange getting you a new module.

    Cheers,

    Mike


  • To update this thread:  Tarik received a new camera that we shipped.  The replacement camera solved the problem he was having.  It seems that it is possible for the camera to become damaged, like from static sensitivity.  
  • Finally got around to play with my logi-bone, and I think I might be having the same issue as Tarik.

    I've done the sudo ~/deploy/init_eeprom.sh && reboot once
    I can confirm that the blinking led app works fine

    But the streamer doesn't show anything. Led 1 stays dark the whole time and Led 0 blinks once when starting the imgproc_app

    Also if that's indicative at all, my camera module came without a screw on the lens.

    Are there any other steps I could take to confirm if the camera module is defective, or if it's something else ?
  • Did you try running the wishbone app ? The wishbon app will make sure the communication works fine with the logibone.
    I have attached another bit file to this post, can you try runing it just to see if you get the blinking led (it won't stream data to the CPU). Copy the bitfile to your beaglebone and then run sudo logi_loader <bitfilename> to load the provided bitfile. If the LED0 is blinking, this means that the camera module is fine. You can also delete the logi-apps repository from your filesystem and fetch it again :
    git clone -b logibone https://github.com/fpga-logi/logi-apps.git

    It appears that sometime the bitfile is corrupted and thus won't work as exepcted.

    Regards,

    Jonathan Piat
    bit
    bit
    logibone_camera_preview.bit
    333K
    socjcare
  • Hi Jonathan,

    I had already updated the git repo from previous conversation on the forum.
    The wishbone app seems to be working (solid then fading LED0)

    The LED0 stays black with the .bit file you provided
  • @mtourne  Sorry about the trouble.  It sounds like a bunk camera, unless you can see any unsoldered pins on the connectors.  Also, I assume that you you are connecting the camera as shown in the quick start guide: http://valentfx.com/wiki/index.php?title=LOGI-Cam-Quick-Start-Guide

    I will follow up on your email in the case that the camera is bad.  
Sign In or Register to comment.